לימודים
עומדים לרשותכם
מיין לפי:
הכי חדש
הכי מתאים
הכי קרוב
לפי איזה ישוב תרצה שנמיין את התוצאות?

דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 

חברת השמה / כח אדם

לפני 9 דקות
קרול יועצים
Location: More than one
Job Type: Full Time
In this role, you will be a critical contributor, responsible for creating detailed project plans, setting clear objectives, and coordinating with various teams to ensure alignment and progress.
Youll drive high-impact projects, lead strategic initiatives, and help shape the future success of the organization.

Key Responsibilities:

Develop comprehensive project plans, including objectives, timelines, and deliverables, in collaboration with senior program managers to ensure strategy alignment.
Oversee project execution and monitoring by tracking progress, adjusting plans as needed, and maintaining adherence to schedules.
Provide regular updates on project status and swiftly address any risks or issues.
Uphold high standards across all project activities, championing continuous improvement.
Requirements:
Bachelors degree in Business, Management, or a related field (Masters preferred).
Approximately 10 years of experience in project management, particularly in the high-tech or software development industry, with a strong track record of leading and delivering complex, large-scale projects.
Experience in Media/ Video/ Streaming- an advantage.
Strong leadership skills in developing and executing project plans, defining objectives and timelines, and aligning cross-functional teams.
High proficiency in English, with excellent communication skills for managing relationships, negotiating expectations, and influencing stakeholders.
Expertise in risk management, contingency planning, and Quality Assurance, with a proactive approach to problem-solving.
Strategic mindset for continuous improvement, focused on optimizing processes and enhancing operational efficiency.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
86486
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
Our mission at Google System Infrastructure is to build the best cloud in the world for Google services and for Google Cloud customers, by solving world business challenges of performance, cost, and scale, utilizing unique hardware, software, and system solutions. To better serve the rapidly evolving cloud needs, Google is establishing a team in Israel to develop custom chips for servers.

In this role, you will perform formal verification of design properties of ASIC designs. You will collaborate closely with design and verification engineers to define meaningful properties that capture the design intent of a logic block and constraints on its input stimulus. You will also help define and improve design and verification methodologies that allow you to achieve formal verification closure.
Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.

Responsibilities
Plan the formal verification strategy and create the properties and constraints for digital design blocks.
Utilize formal property verification tools combined with formal verification closure techniques to verify properties.
Contribute improvements to methodologies to enhance formal verification results.
Architect and implement reusable formal verification components.
Requirements:
Minimum qualifications:
Bachelor's degree in Electrical Engineering, Computer Science, or equivalent practical experience.
5 years of experience working on main interconnects, Direct Memory Access (DMA), controllers, and power management.
Experience capturing design specification in a temporal assertion language such as SystemVerilog Assertion (SVA) or Property Specification Language (PSL).

Preferred qualifications:
Master's degree or PhD in Electrical Engineering or Computer Science.
Experience working with one or more formal verification tools, such as JasperGold, VC Formal, Questa Formal, or 360-DV.
Understanding of formal verification algorithms.
Proficiency with scripting languages, such as Python.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90384
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo
Job Type: Full Time
Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Googles needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.

With your technical expertise, you will research new modeling approaches for improving multilingual capabilities of Large Language Models used on key Google products like Gemini, Search, and Cloud Vertex AI (e.g., pre-training, instruction following, and cross-lingual tasks). You will also explore pre-training for target languages other than English and develop the infrastructure that supports this research. Our team is committed to developing advanced methodologies tailored specifically for multilingual environments. We focus on pre-training multilingual models, enhancing the quality of multilingual instruction-tuning datasets, refining multilingual evaluation processes, boosting knowledge transfer across languages, and optimizing multilingual tokenization, among other initiatives.

Google Research addresses challenges that define the technology of today and tomorrow. From conducting fundamental research to influencing product development, our research teams have the opportunity to impact technology used by billions of people every day.

Our teams aspire to make discoveries that impact everyone, and core to our approach is sharing our research and tools to fuel progress in the field -- we publish regularly in academic journals, release projects as open source, and apply research to Google products.

Responsibilities
Write and test product or system development code.
Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.
Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).
Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.
Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.
Requirements:
Minimum qualifications:
Bachelors degree or equivalent practical experience.
5 years of experience with software development in one or more programming languages, and with data structures/algorithms.
5 years of experience with Natural Language Processing or Large Language Models.
3 years of experience testing, maintaining, or launching software products, and 1 year of experience with software design and architecture.
Experience with ML/AI algorithms and tools, deep learning or natural language processing.
Experience contributing to Natural Language Processing or Large Language Model research, including publishing at conferences (e.g., ACL, EMNLP, COLING, neurIPS, ICML).

Preferred qualifications:
Master's degree or PhD in Computer Science or a related technical field.
Experience in a technical leadership role.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90386
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo
Job Type: Full Time
The File Solutions team provides a set of fully managed file services for Enterprise and HPC and AI/ML customers addressing workloads (e.g., SAP, GKE applications, etc.). The team facilitates seamless migration to cloud, hybrid cloud solutions, and seamless integration with other File solutions and Google Cloud Platform (GCP) solutions and services.

The team's goal is to be the authoritative one stop shop for all file solutions in GCP, serving large-scale strategic customers and managing customer data, while maintaining the highest performance, scale, reliability, usability, quality, and observability as well as ownership of the whole file portfolio, whether built from the ground up internally or via integration with third-party and open source technologies.

Google Cloud accelerates every organizations ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Googles cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.

Responsibilities
Drive the technical decision making and prioritization across File Solutions integration with external/internal products (e.g., Filestore, NetApp, PFS/DAOS) and infras to create a superb experience to our customers covering all the workloads and needs.
Guide a team of engineers based in India, Tel Aviv, and Kirkland through technical design directions for creating the best file solutions for our customers achieving high quality, reliability, and development velocity.
Collaborate with engineering and product leadership on setting priorities and building strategic roadmap as well as multiple local and remote teams serving the product portfolio (e.g., UX, UI etc.).
Build relationships, and collaborate with and work closely with various Developer partners and external companies we integrate with to create the best solution including all the technical aspects.
Requirements:
Minimum qualifications:
15 years of experience with distributed systems and architectures
Experience with building storage systems and hosting business critical data
Experience in technical leadership, leading global projects and setting technical direction for teams
Experience working in a cloud computing environment (e.g., infrastructure, storage, platforms, or data).

Preferred qualifications:
Experience building complicated systems from scratch including modeling to reason about their behavior from first principles
Excellent communication skills and experience interacting with large-scale customers.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90385
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo
Job Type: Full Time
As an organization, Google maintains a portfolio of research projects driven by fundamental research, new product innovation, product contribution and infrastructure goals, while providing individuals and teams the freedom to emphasize specific types of work. As a Research Scientist, you'll setup large-scale tests and deploy promising ideas quickly and broadly, managing deadlines and deliverables while applying the latest theories to develop new and improved products, processes, or technologies. From creating experiments and prototyping implementations to designing new architectures, our research scientists work on real-world problems that span the breadth of computer science, such as machine (and deep) learning, data mining, natural language processing, hardware and software performance analysis, improving compilers for mobile platforms, as well as core search and much more.

As a Research Scientist, you'll also actively contribute to the wider research community by sharing and publishing your findings, with ideas inspired by internal projects as well as from collaborations with research programs at partner universities and technical institutes all over the world.

Google Research is building the next generation of intelligent systems for all Google products. To achieve this, were working on projects that utilize the latest computer science techniques developed by skilled software developers and research scientists. Google Research teams collaborate closely with other teams across Google, maintaining the flexibility and versatility required to adapt new projects and foci that meet the demands of the world's fast-paced business needs.

Responsibilities
Drive project work by defining the data structure, framework, design, and evaluation metrics for research solution development and implementation. Identify timelines and obtain resources needed.
Identify defined problems/gaps in existing technology and engage stakeholders and leaders to address them.
Lead the research of technology for improving Large Language Model (LLM) efficiency of performing target capabilities or supporting many capabilities, such as novel architectures and improved pre-training.
Collaborate with other Research teams to expand efficient LLM technology.
Collaborate with Google first-party partner teams to deliver new technologies to production.
Requirements:
Minimum qualifications:
PhD degree in Computer Science, a related field, or equivalent practical experience.
2 years of experience leading a research agenda.
One or more scientific publication submission(s) for conferences, journals, or public repositories.
Experience with Large Language Models, NLP, or Generative AI.
Experience with coding.

Preferred qualifications:
1 year of experience leading research efforts and influencing other researchers.
Experience with modern LLMs and generative models, in fields like NLP or multimodality.
Experience with efficiency, modularity or related topics for LLMs.
Experience with GenAI fields.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90383
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo
Job Type: Full Time
Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Googles needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.

With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.

Waze is where people and technology meet to solve transportation challenges. It's a platform that empowers users to contribute road data and edit Waze maps to improve the way we move about the world. As the social navigation pioneer, Waze leverages mobile technology and a passionate global community to redefine expectations of todays maps.

Waze is also a household name in Israel, and joining our team is an opportunity to be part of local history and be part of a passionate team and community working to change the way our cities move and make every drive smarter and safer.
Responsibilities
Write product or system development code.
Review code developed by other engineers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).
Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.
Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.
Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.
Requirements:
Minimum qualifications:
Bachelors degree or equivalent practical experience.
2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree.
2 years of experience with data structures or algorithms in either an academic or industry setting.
2 years of experience with iOS application development.

Preferred qualifications:
Master's degree or PhD in Computer Science or related technical field.
Experience developing accessible technologies.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90381
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.

Responsibilities
Demonstrate an understanding of the Register-Transfer Level (RTL)-to-Graphic Data Stream (GDS)II flow, with experience in using Cadence design tools.
Involve in implementing large, complex system-on-chips (SoCs), subsystems, and sub-wrappers, demonstrate an understanding of associated issues and solutions.
Possess floorplanning, power grid design, and place-and-route methodologies, with expertise in using Synopsis tools like Floorplan Compiler (FC) and formality.
Exhibit an understanding of advanced node design (e.g., 5nm and below) and related optimization techniques.
Possess scripting skills in Synopsis TCL, with expertise in Python.
Requirements:
Minimum qualifications:
Bachelor's degree in Electrical Engineering, Computer Science, or a related field, or equivalent practical experience.
Experience in Electronic Design Automation (EDA) tools and RTL2GDS flows.
Experience in the semiconductor/EDA industry.

Preferred qualifications:
Masters degree in Computer Engineering/Electronics Engineering.
Experience related to silicon quality or reliability.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90382
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
A problem isnt truly solved until its solved for all. Thats why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. As a Technical Program Manager at Google, youll use your technical expertise to lead complex, multi-disciplinary projects from start to finish. Youll work with stakeholders to plan requirements, identify risks, manage project schedules, and communicate clearly with cross-functional partners across the company. You're equally comfortable explaining your team's analyses and recommendations to executives as you are discussing the technical tradeoffs in product development with engineers.

Our goal is to build a Google that looks like the world around us and we want Googlers to stay and grow when they join us. As part of our efforts to build a Google for everyone, we build diversity, equity, and inclusion into our work and we aim to cultivate a sense of belonging throughout the company.

As a Technical Program Manager for Silicon Development, you will use your technical and management experience to lead the development and execution of complex, multidisciplinary SoC projects. You will plan programs and manage their execution from early concepts through development to tape-out and production. You will collaborate closely with architecture, design, verification, physical implementation and manufacturing teams throughout the SoC execution life cycle. This includes making technical decisions for the chip designs and methodology, driving project schedules, identifying risks and communicating them to all stakeholders, and managing partner teams.

Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.

Responsibilities
Plan, coordinate, and deliver custom silicon products.
Assess complexity and scope out the project, generate task lists, build a project timeline and work with the teams to make it into reality.
Lead the data-driven schedules and milestones, track the progress, proactively identify potential future issues, and identify mitigations with the team leaders.
Drive technical, budgetary, and schedule trade-off discussions with cross-functional teams.
Manage project execution and issues through design, development, test, manufacturing, deployment and sustaining activities for silicon and hardware products.
Requirements:
Minimum qualifications:
Bachelor's degree in Computer Science, Electrical Engineering or equivalent practical experience.
8 years of experience in program management.
Experience in program management on technical cross-functional projects.
Experience in one or more areas like architecture, design, verification, implementation, or validation with seven or more cycles of chip development.
Experience in leading, developing and growing teams.

Preferred qualifications:
Master's degree or PhD in Engineering, or a related field.
Experience as an engineer or manager in developing hardware or software systems around the chips.
Experience with two or more chip cycles in a project management role with execution within resource and schedule constraints.
Knowledge of data centers and cloud markets, technological and business trends, requirements, and ecosystem partners.
Ability to motivate and focus a large collaboration to reach goals.
Excellent communication and facilitation skills.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90379
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo
Job Type: Full Time
Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Googles needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.

With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.

Google Cloud accelerates every organizations ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Googles cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.

Responsibilities
Write and test product or system development code.
Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.
Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).
Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.
Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.
Requirements:
Minimum qualifications:
Bachelors degree or equivalent practical experience.
Experience with software development in one or more programming languages, and with data structures/algorithms.
Experience testing, maintaining, or launching software products, and with software design and architecture.
Experience developing large-scale infrastructure, distributed systems or networks, or experience with compute technologies, storage or hardware architecture.

Preferred qualifications:
Master's degree or PhD in Computer Science or related technical field.
Experience developing accessible technologies.
Experience in a technical leadership role.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90380
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
As a CPU Design Verification Engineer, you will work as part of a Research and Development team, and you will build verification components, constrained-random testing, system testing, and verification closure. As part of our server chip design team, you will verify digital designs. You will collaborate closely with design and verification engineers in projects and perform direct verification. You'll build efficient and effective constrained-random verification environments that exercise designs through their corner-cases and expose all types of bugs. You will manage the full lifecycle of verification which can range from verification planning, test execution or collecting, and closing coverage.

Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.

Responsibilities
Plan the verification of digital design blocks by fully understanding the design specification and interacting with design engineers to identify important verification scenarios.
Create and enhance constrained-random verification environments using SystemVerilog/UVM, or Specman.
Identify and write all types of coverage measures for stimulus and corner-cases.
Debug tests with design engineers to deliver functionally correct design blocks.
Lead coverage measures to identify verification holes and to show progress towards tape-out.
Requirements:
Minimum qualifications:
Bachelor's degree in Electrical Engineering or equivalent practical experience.
3 years of experience verifying digital logic at RTL level using SystemVerilog, or Specman/E for FPGAs or ASICs.
Experience verifying digital systems using standard IP components/interconnects (microprocessor cores, hierarchical memory subsystems).
Experience creating and using verification components and environments in standard verification methodology.

Preferred qualifications:
Masters degree in Electrical Engineering, Computer Science, or equivalent practical experience.
Experience with UVM, SystemVerilog, or other scripting languages (e.g. Python, Perl, Shell, Bash, etc.).
Experience with CPU implementation, assembly language, or compute System on a Chip (SOC).
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90371
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.

Responsibilities
Define the SoC/block level design document such as interface protocol, block diagram, transaction flow, pipeline etc.
Perform Register-Transfer Level (RTL) development (e.g., coding and debug in Verilog, SystemVerilog), function/performance simulation debug and Lint/CDC/FV/UPF checks.
Participate in synthesis, timing/power closure and ASIC silicon bring-up.
Participate in test plan and coverage analysis of the block and SoC level verification.
Communicate and work with multi-disciplined and multi-site teams.
Requirements:
Minimum qualifications:
Bachelor's degree in Electrical Engineering, Computer Engineering, Computer Science, or a related field, or equivalent practical experience.
5 years of experience with digital logic design principles, RTL design concepts, and languages such as Verilog or SystemVerilog.
Experience with logic synthesis techniques to optimize RTL code, performance and power as well as low-power design techniques.
Experience in logic design and debug with Design Verification (DV).
Experience with design sign-off and quality tools (e.g., Lint, CDC, etc.).

Preferred qualifications:
Experience in scripting languages like Python or Perl.
Knowledge of high performance and low power design techniques.
Knowledge of assertion-based formal verification.
Knowledge of System-on-a-Chip (SoC) architecture.
Knowledge in PCIe, UCIe, DDR, AXI, or ARM processors.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90372
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo
Job Type: Full Time
Waze's software engineers are a small group of people who directly impact the daily lives of millions of drivers worldwide. As a software engineer, you are the architect, designer and coder of your project. In addition, you work closely with the product team to make vision become reality. You are dynamic and able to work well both independently and as part of a team.

At Waze, we leave all egos at the door and concentrate on the job at hand. We value passionate, down to earth, can do people who enjoy fine-tuning small details, without losing sight of the big picture. We are looking for the type of person who feels uncomfortable when a day goes by without achieving something impactful. Someone who is driven to get things done and views obstacles as an exciting challenge that demands a creative solution. Above all else, this role requires someone who takes great pride in their work and is inspired and motivated by their role in improving the way millions of people drive worldwide.

With your technical expertise, you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.

Waze is where people and technology meet to solve transportation challenges. It's a platform that empowers users to contribute road data and edit Waze maps to improve the way we move about the world. As the social navigation pioneer, Waze leverages mobile technology and a passionate global community to redefine expectations of todays maps.

Responsibilities
Serve as a key part of our Mobile Technologies team.
Design and implement advanced features for Waze App.
Experiment with exciting and innovative technologies.
Help improve user experience for Waze on iOS.
Requirements:
Minimum qualifications:
Bachelors degree or equivalent practical experience.
5 years of experience with software development in Objective-C or Swift using iOS or OS X frameworks.
3 years of experience testing, maintaining, or launching software products, and 1 year of experience with large scale application design and architecture.

Preferred qualifications:
Master's degree or PhD in Computer Science or related technical field.
1 year of experience in a technical leadership role.
Experience developing accessible technologies.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90375
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
In this role, you will be part of a team defining solutions for network accelerations in data centers. You will have dynamic, multi-faceted responsibilities in areas such as project definition, with close collaborations with software teams. You will participate in the design, architecture, documentation, and implementation of the next generation of data center accelerators. You will be responsible for performance analysis for an end to end networking stack using your deep knowledge of RDMA and packet processors based transports.

Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.

Responsibilities
Evaluate different silicon solutions for executing Googles data center networking roadmap: off-the-shelf components, vendor co-developments, custom designs, and chiplets.
Create high performance hardware/software interfaces.
Collaborate closely with software, verification, emulation, physical design, packaging, and silicon validation stakeholders to ensure that designs are complete, correct, and performant.
Drive vendor execution in various engagements: standard component roadmaps, build to specification, and co-developments.
Participate in evaluation of future ASIC designs and general architecture.
Requirements:
Minimum qualifications:
Bachelor's degree in Electrical Engineering, Computer Engineering, Computer Science, a related field, or equivalent practical experience.
8 years of experience working in network architecture and system design principles for low latency, high throughput, security, and reliability.
Experience developing RTL for ASIC subsystems.
Experience in micro-architecture, design, verification, logic synthesis, and timing closure.
Experience in architecting RDMA or packet processor IPs across multiple generations.

Preferred qualifications:
Experience architecting networking switches, end points, and hardware offloads.
Experience with TCP, IP, Ethernet, PCIE, and DRAM, and familiarity with Network on Chip (NoC) principles and protocols (e.g., AXI, ACE, and CHI).
Experience working with software teams optimizing the hardware/software interface.
Proficiency in a procedural programming language (e.g., C++, Python, Go).
Deep understanding of packet classification, processing, queueing, scheduling, switching, routing, traffic conditioning, and telemetry.
Ability to estimate performance by analysis, modeling, and network simulation, and define and drive performance test plans.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90373
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Ramat Gan
Job Type: Full Time
Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Googles needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.

With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.

Google Cloud accelerates every organizations ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Googles cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.

Responsibilities
Write product or system development code.
Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.
Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).
Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.
Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.
Requirements:
Minimum qualifications:
Bachelors degree or equivalent practical experience.
Experience with software development in one or more programming languages (e.g., Python, C, C++, Java, JavaScript).
Experience with data structures or algorithms.

Preferred qualifications:
Master's degree or PhD in Computer Science or related technical field.
Experience developing accessible technologies.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90377
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 1 שעות
Google Israel
Location: Tel Aviv-Yafo
Job Type: Full Time
Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Googles needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.

With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.

Google Cloud accelerates every organizations ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Googles cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.

Responsibilities
Write product or system development code.
Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.
Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).
Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.
Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.
Requirements:
Minimum qualifications:
Bachelors degree or equivalent practical experience.
2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree.
2 years of experience with data structures or algorithms.

Preferred qualifications:
Master's degree or PhD in Computer Science or related technical fields.
Experience developing accessible technologies.
.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
90376
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
09/12/2024
מיקום המשרה: תל אביב יפו
סוג משרה: משרה מלאה ועבודה היברידית
במסגרת התפקיד:
- אחריות על יצירה, יישום, עריכת התאמות, תפעול ותחזוקה של פתרונות המבוססים על תשתיות ענן, כתיבה ותחזוקת קוד Infrastructure as a code, לרבות מתן התייחסות מקיפה להיבטי גיבוי ושחזור.
- בקרה, ניטור ומדידה שוטפים של תהליכי העבודה בענן הנמצאים בשימוש המשרד ועריכת התאמות שוטפות בפעילויות לצורך הבטחת צריכתן באופן היעיל ביותר.
- ליווי היחידות העסקיות בפתרון בעיות וסיוע בהפעלת הפתרונות.
דרישות:
- השכלה רלוונטית
- לפחות 4 שנות ניסיון
- ניסיון של לפחות שנה בארגון גדול / ארגון בריאות
- היכרות מעמיקה במערכות הפעלה Linux ו-WINDOWS
- ניסיון מוכח עם סביבות ענן AWS
- היכרות עם שפת קוד TERRAFORM
- היכרות עם עולמות הData כגון: MICROSOFT, SQL, Oracle, MYSQL- יתרון המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
88928
שירות זה פתוח ללקוחות VIP בלבד
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
28/11/2024
Location: Rosh Haayin
Job Type: Full Time
We are seeking a Data Center and Communication Infrastructure Engineer to support our mission in providing advanced IT and cybersecurity services.
Location: Rosh HaAyin
In this role, you’ll manage cutting-edge systems and protection technologies within a dynamic, tech-driven environment.
Requirements:
Requirements: - Certifications: CCNA, MCAC - At least 3-5 years of experience working with Private cloud infrastructure, focusing on Hyper-V and multi-server Microsoft environments. - Proven experience in secure environments and adherence to compliance standards. - Strong knowledge of operating systems, networks, and storage arrays. - Proficiency in managing Data Center telecommunications with multiple switches and routers. - Hands-on experience managing and maintaining complex servers and systems. - Willingness to work on weekends and nights.






.המשרה מיועדת לנשים ולגברים כאחד
 
Show more...
85332
שירות זה פתוח ללקוחות VIP בלבד
משרות שנמחקו